question

lancewu avatar image
0 Likes"
lancewu asked lancewu commented

How to automatically fetch and run the. dll file when the model is opened

At present, I know of a method that we can implement through the following code to automatically run the txt document that has already been written in flexscript when the flexsim model is opened.

Is there a method to automatically run the Dll file defined in C++using a script when I open a new model?

Functionality similar to the following code, but changed from a txt document to a Dll file

"C:\Program Files\FlexSim 2022\program\flexsim.exe"C:\Users\username\Documents\Flexsim 2022 Projects\test.fsm" /maintenance nogui_disablemsg_runscript /scriptpath C:\myscript.txt

FlexSim 22.1.4
codedll
5 |100000

Up to 12 attachments (including images) can be used with a maximum of 23.8 MiB each and 47.7 MiB total.

1 Answer

·
Jason Lightfoot avatar image
0 Likes"
Jason Lightfoot answered lancewu commented

There are many ways to do this. One would be to call a usercommand which is linked as external code to a dll function using a newmodelinstall dropscript of a userlibrary you've set to always load.

· 1
5 |100000

Up to 12 attachments (including images) can be used with a maximum of 23.8 MiB each and 47.7 MiB total.

lancewu avatar image lancewu commented ·

thanks,jason.You always provide me with the right approach to solving problems!

0 Likes 0 ·

Write an Answer

Hint: Notify or tag a user in this post by typing @username.

Up to 12 attachments (including images) can be used with a maximum of 23.8 MiB each and 47.7 MiB total.